当前位置:网站首页>vivado 如何添加时序约束
vivado 如何添加时序约束
2022-06-27 20:50:00 【ML__LM】
vivado 如何添加时序约束
一个 FPGA 设计除了管脚分配以外,还有一个重要的约束,那就是时序约束,这里通过向
导方式演示如果进行一个时序约束
- 点击“Run Synthesis”开始综合
- 弹出对话框点击“OK”
- 综合完成以后点击“Cancel”
4) 点击“Constraints Wizard”
5) 在弹出的窗口中点击“Next”
6) 时序约束向导分析出设计中的时钟,这里把“sys_clk”频率设置为 50Mhz,然后点击
“Skip to Finish”结束时序约束向导。
7) 弹出的窗口中点击“OK”
8) 点击“Finish”
9) 这个时候top.xdc 文件已经更新,点击“Reload”重新加载文件,并保存文件
set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property PACKAGE_PIN J16 [get_ports rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
#时序约束
create_clock -period 20.000 -name sys_clk -waveform {
0.000 10.000} [get_ports sys_clk]
边栏推荐
- 广告太「野」,吉野家「渡劫」
- 「R」 Using ggpolar to draw survival association network diagram
- Batch processing - Excel import template 1.1- support multiple sheet pages
- 「R」使用ggpolar绘制生存关联网络图
- Realization of kaggle cat dog recognition by pytorch
- Using xgboost with tidymodels
- How to start ID from 1 after MySQL deletes a table
- Spark bug practice (including bug:classcastexception; connectexception; NoClassDefFoundError; runtimeException, etc.)
- Workflow automation low code is the key
- [随笔]ME53N 增加按钮,调用URL
猜你喜欢
clickonce 部署ClickOnce应用程序时出错-清单中的引用与下载的程序集的标识不匹配
Practice torch FX: pytorch based model optimization quantization artifact
元气森林的5元有矿之死
使用SQL进行数据去重的N种方法
MapReduce初级编程实践
Stream + Nacos
Netease cloud lost its "feelings" card
Spark BUG实践(包含的BUG:ClassCastException;ConnectException;NoClassDefFoundError;RuntimeExceptio等。。。。)
这届考生,报志愿比高考更“拼命”
Spatial relation query and graph based query in secondary development of ArcGIS Engine
随机推荐
Ice cream or snow "high"?
Livox Lidar+海康Camera实时生成彩色点云
Spug - 轻量级自动化运维平台
This year's examinees are more "desperate" than the college entrance examination
This kind of people began to be robbed by VC with a monthly salary of 80000 yuan
The most illusory richest man in China is even more illusory
Typora 1.2.5等版本下载
Discuz小鱼游戏风影传说商业GBK+UTF8版模板/DZ游戏网站模板
Arcgis-engine二次开发之空间关系查询与按图形查询
【IDEA】IDEA 格式化 代码技巧 idea 格式化 会加 <p> 标签
The choice and trade-off between vector recall and literal recall
Livox lidar+ Hikvision camera real-time 3D reconstruction based on loam to generate RGB color point cloud
Fsnotify interface of go language to monitor file modification
Design of STM32 and rc522 simple bus card system
C# Winform 读取Resources图片
Spark BUG實踐(包含的BUG:ClassCastException;ConnectException;NoClassDefFoundError;RuntimeExceptio等。。。。)
游戏手机平台简单介绍
Liuleifeng, a "good man in Guangzhou" in the first quarter of 2022, has a strong sense of integrity and food safety
OData - SAP S4 OP 中使用SAP API Hub 的API
SQL Server 2016详细安装教程(附注册码和资源)